VERILOG & FPGA Project : DIGITAL CLOCK WITH ALARM AND FLEXIBLE TIME SETTING FEATURES

  • 11 years ago
Hello Friends,
Here i am going to upload a very simple digital clock project. This system contains all the basic features of a digital clock.

Specifications -
Common time setting keys for time and alarm setting.
one switch mode selection for time and alarm.
Current time and alarm time will display on same screen.
Easy to set time and alarm.
Modified alarm beep sound.
Very easy to use and handle.

This project is developed on Xilinx Spartan FPGA device using Verilog coding.

For more technical & project video and tutorials please subscribe our youtube channel -
http://www.youtube.com/user/ndoogle

For regular updates please like and subscribe our facebook page-
http://www.facebook.com/Final.Year.Pr...

I hope you like this video, i would love to read your suggestions and comments here,
My name is "Naresh Singh Dobal", for technical queries and project assistance please write us at nsdobal@gmail.com

Please contact us for quality and project based training on latest and growing technologies like VHDL, Verilog HDL, PCB & Circuit Designing, Embedded System, CAD-CAM, Auto CAD, ORACLE-database management, PL-SQL, Soft Skill & Personality Developement Programs, Business Communication and Professional Ethics in corporate Development.

Contact : nsdobal@gmail.com

Recommended